Disqus for sparkdigital2011

Sabtu, 25 Desember 2010

Seven Segment Display in VHDL

Rangkaian BCD to seven segment merupakan rangkaian logika kombinasional. Rangkaian ini digunakan untuk mengkonversikan suatu nilai desimal terkode biner (BCD) ke pola segment yang sesuai pada display seven segment. Seven segment adalah alat tampilan elektronik yang bisa menampilkan penomoran decimal. seperti pada gambar berikut ini:

entity relog is

port ( d : in std_logic_vector (3 downto 0);

s : out std_logic_vector (7 downto 0));

end relog;

architecture logika of relog is

begin

s <= "00000110" when d="0001" else --1

"01011011" when d="0010" else --2

"01001111" when d="0011" else --3

"01100110" when d="0100" else --4

"01101101" when d="0101" else --5

"01111101" when d="0110" else --6

"00000111" when d="0111" else --7

"01111111" when d="1000" else --8

"01101111" when d="1001" else --9

"01100111" when d="1010" else --A

"01111100" when d="1011" else --B

"00111001" when d="1100" else --C

"01011110" when d="1101" else --D

"01111001" when d="1110" else --E

"01110001" when d="1111" else --F

"11111111" ; --0

end behavioral;


Logika :

entity relog is
port ( d : in std_logic_vector (3 downto 0);
s : out std_logic_vector (7 downto 0));
end relog;

- variabel d : adalah input dengan nilai vector 3 downto 0 (4 bit) - variabel s : adalah output dengan nilai vector 7 downto 0 (8 bit)

s <= "00000110" when d="0001" else --1 "01011011" when d="0010" else --2 "01001111" when d="0011" else --3

01011011 adalah tampilan LED untuk angka 2 (berbentuk 7 segment) jika diinput nilai 2 (0010 dalam biner), begitu selanjutnya. selengkapnya..

Tidak ada komentar:

Posting Komentar